Question

a) Draw the additional connections required for a 4-bit binary counter to go through the count...

a) Draw the additional connections required for a 4-bit binary counter to go through the count sequence 5-to-14 then repeats using the Load signal. b) Write the Verilog-HDL that describes the behaviour of the counter of part a).

Homework Answers

Answer #1


module counter_5to14 (
input clk, rst,
input [3:0] load_input,
output load,
output reg [3:0] count
);
wire load;
always @ (posedge clk)
begin
if (rst) // Synchronous active high reset
count <= 4'd5;
else
begin
if (load)
count <= 4'd5;
else
count <= count + 1'b1;
end
end
assign load = (count == 4'd14);
endmodule

Know the answer?
Your Answer:

Post as a guest

Your Name:

What's your source?

Earn Coins

Coins can be redeemed for fabulous gifts.

Not the answer you're looking for?
Ask your own homework help question
Similar Questions
A 4-Bit binary counter: A register containing 4 bits, all initialized to zero. The bits increment...
A 4-Bit binary counter: A register containing 4 bits, all initialized to zero. The bits increment by 1 every 10 nanoseconds at each iteration until it gets to all ones in the 4-bit positions. Write the Verilog code and testbench for the 4-bit binary counter.
Design a 4 to 16 decoder using Verilog HDL. The inputs are a four-bit vector W=...
Design a 4 to 16 decoder using Verilog HDL. The inputs are a four-bit vector W= [w1 w2 w3 w4] and an enable signal En. The outputs are represented by the 16-bit vector Y= [y0 y1 …..y15]. a) Write Verilog HDL behavioral style code for 2-to-4 decoder. b) Write Verilog HDL behavioral style code for 4-to-16 decoder by instantiation of 2-to-4 decoders.
Design a 4 to 16 decoder using Verilog HDL. The inputs are a four-bit vector W=...
Design a 4 to 16 decoder using Verilog HDL. The inputs are a four-bit vector W= [w1 w2 w3 w4] and an enable signal En. The outputs are represented by the 16-bit vector Y= [y0 y1 …..y15]. a) Write Verilog HDL behavioral style code for 2-to-4 decoder. b) Write Verilog HDL behavioral style code for 4-to-16 decoder by instantiation of 2-to-4 decoders.
1.IC 74161 is a synchronous 4-bit counter designed by Texas Instruments (TI). Find the data sheet...
1.IC 74161 is a synchronous 4-bit counter designed by Texas Instruments (TI). Find the data sheet for this IC on Google and answer the following questions: a)Use two 74161 ICs to design an 8-bit counter. Hint: work with the IC input and output pins. Consider the count sequence: 0-> 1-> 2-> 3-> 4-> 5-> 0 .... b) Use a 74161 IC and the “Clear” input pin to implement this count sequence. c) Use a 74161 IC and the “Load” input...
Design a 4-bit adder-subtractor circuit using the 4-bit binary Full adders (74LS83) and any necessary additional...
Design a 4-bit adder-subtractor circuit using the 4-bit binary Full adders (74LS83) and any necessary additional logic gates. The circuit has a mode input bit, M, that controls its operation. Specifically, when M=0, the circuit becomes a 4-bit adder, and when M=1, the circuit becomes a 4-bit subtractor that performs the operation A plus the 2’s complement of B.Where A and B are two 4-bits binary numbers. That is, * When M=0, we perform A+B, and we assume that both...
QUESTION 1 During a single clock tick, how many 64-bit values can be written to an...
QUESTION 1 During a single clock tick, how many 64-bit values can be written to an input of a register file with 8 64-bit registers (i.e. an 8x64 register file)? QUESTION 2 Suppose you are designing a processor that contains a register file with 32 32-bit registers (i.e. a 32x32 register file). What is the minimum number of bits required in order to select which register is being written to? QUESTION 3 If the decimal value, 30, is shifted to...
Design a circuit for a synchronous 4-bit counter. Your counter should count up starting from 0...
Design a circuit for a synchronous 4-bit counter. Your counter should count up starting from 0 to 9 (00002 to 10012) and then wind back to 0 (00002) – after 9, it should go back to 0. Use 4 JK flip-flops and any other gates you need. Include your design documentation in your submission: a. Truth table b. Simplification (show your work) 2. Build this circuit in Logisim. Please label each gate, including flip-flops. You may need the following wiring...
1.a) A counter is designed to go through the sequence : 1,3,5,7,0,2,5,6, repeat, Using JK flipflops:...
1.a) A counter is designed to go through the sequence : 1,3,5,7,0,2,5,6, repeat, Using JK flipflops: (i) Construct the state table. (ii) Draw the circuit. b) A sequential circuit is constructed with one T flip-flop A, one D flip-flop B and one input X, when X=0, the state of the circuit remains the same. When X=1, the circuit goes through the transitions from 00 to 01 to 11 to 10 back to 00, repeat. (i) Draw the state transition diagram...
Can i get the answer to these questions in detail explaining how you go to the...
Can i get the answer to these questions in detail explaining how you go to the solution 1.Convert to/from Hex (0) 2.Octal Binary Unsigned/ 2’s complement 3.Understand how to shift and rotate. 4.Understand the relationship between shifting and multiplication/division 5.Be able to recognize and use the following gates: AND OR NOT NAND NOR XOR 6.Understand how a half adder works Given a logical statement ((A OR B) AND NOT(C OR A)) show the gates. 7.What is the difference between an...
Part 1: Two Round Conductors Gather all items required for the exercise. Note: If using the...
Part 1: Two Round Conductors Gather all items required for the exercise. Note: If using the lab kit box, remove contents and place in a secure area. Put on your safety goggles. Center the black conductive paper on the top of the box, grid-side up. Place the two metal nuts (conductors) at the (5 cm, 10 cm) and (20 cm, 10 cm) positions on the paper. Secure using two dissection pins for each conductor. See Figure 13. Note: Place the...
ADVERTISEMENT
Need Online Homework Help?

Get Answers For Free
Most questions answered within 1 hours.

Ask a Question
ADVERTISEMENT