Question

Write a HDLcode for an 8 bit shift register with a reset pin. for system verilog...

Write a HDLcode for an 8 bit shift register with a reset pin. for system verilog please

Homework Answers

Answer #1

module shift_register (
   input logic Clock, // Clock input
   input logic Reset, // Reset input
   input logic Load, // Load = 1 then In1 is loaded into shift register
   input logic [7:0] In1, // Input to be loaded when Load = 1
   output logic Out1 // Out1
);

logic [7:0] reg1;

always_ff @(posedge Clock)
  begin
   if (Reset == 1'b1) // Active high synchronous reset
   reg1 <= 8'b0;
   else if (Load == 1'b1)
   reg1 <= In1;
   else
   reg1 <= {1'b0, reg1[7:1]};
  end

assign Out1 = reg1[0];

endmodule

Know the answer?
Your Answer:

Post as a guest

Your Name:

What's your source?

Earn Coins

Coins can be redeemed for fabulous gifts.

Not the answer you're looking for?
Ask your own homework help question
Similar Questions
Write a VHDL code for a 4-bit shift register that shifts from right to left.
Write a VHDL code for a 4-bit shift register that shifts from right to left.
write the truth table of 3-(7494) of 4 bit shift register using VHDL Behavioral style of...
write the truth table of 3-(7494) of 4 bit shift register using VHDL Behavioral style of modeling.
Please write verilog code for a 4-bit Carry Look-Ahead (CLA) Adder.
Please write verilog code for a 4-bit Carry Look-Ahead (CLA) Adder.
design 4 bit shift register IC 5495 using behavioral style in VHDL
design 4 bit shift register IC 5495 using behavioral style in VHDL
A 4-Bit binary counter: A register containing 4 bits, all initialized to zero. The bits increment...
A 4-Bit binary counter: A register containing 4 bits, all initialized to zero. The bits increment by 1 every 10 nanoseconds at each iteration until it gets to all ones in the 4-bit positions. Write the Verilog code and testbench for the 4-bit binary counter.
Model 74LS164- an 8-Bit Serial In/Parallel Out Shift Register using behavioral style using VHDL, create a...
Model 74LS164- an 8-Bit Serial In/Parallel Out Shift Register using behavioral style using VHDL, create a test bench to apply various stimulus to it, and demonstrate its functionality for various test cases through simulated waveform and configuration on the DE2-115 target board.
14.5. Give the name of the bit, the name of the register that it is in,...
14.5. Give the name of the bit, the name of the register that it is in, the register's address, which bit, and the default or reset state of the bit for each of the following: a-What bit indicates that the timer has overflowed? b-What bit enables the timer overflow interrupts? c-What bits are used to prescale the timer clock?
Design a verilog code for four-bit subtractor. write the simulation code and constraints file for nexys...
Design a verilog code for four-bit subtractor. write the simulation code and constraints file for nexys 4.
Please show complete Verilog code. Write a Verilog description for 3-to-8 decoder generating low outputs when...
Please show complete Verilog code. Write a Verilog description for 3-to-8 decoder generating low outputs when enabled with a low enable.
Design a 6-bit, shift-right register with D flip flops, and use it to implement a circuit...
Design a 6-bit, shift-right register with D flip flops, and use it to implement a circuit that detects the sequence “010010” (the rightmost bit is the first arriving). Information shifts one position right when a positiv edge of clk occurs The circuit has the following inputs and outputs (use exactly these names for inputs and outputs. Respect upper and lower case): clk: Input. Clock signal. RST: Reset signal. When RST = 1 flip flops are reset to 0. IN: Data...