Question

Write the VHDL code implementing a 6-bit synchronous counter with enable. The design should include the...

Write the VHDL code implementing a 6-bit synchronous counter with enable. The design should include the output to be connected to the next stage

Then update the code to include an asynchronous reset

Homework Answers

Answer #1

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity vhdl_binary_counter is

port(enable, reset : in std_logic;

Q : out std_logic_vector(5 downto 0));

end vhdl_binary_counter;

architecture bhv of vhdl_binary_counter is

signal tmp: std_logic_vector(5 downto 0);

begin

process (enable, reset)

begin

if (reset=’1?) then

tmp <= "000000";

elsif (enable’event and enable=’1?) then

tmp <= tmp + 1;

end if;

end process;

Q <= tmp;

end bhv;

Know the answer?
Your Answer:

Post as a guest

Your Name:

What's your source?

Earn Coins

Coins can be redeemed for fabulous gifts.

Not the answer you're looking for?
Ask your own homework help question
Similar Questions
2-(74196) write the code in VHDL Design and implementation of Pressetable ripple counter using behavioral style...
2-(74196) write the code in VHDL Design and implementation of Pressetable ripple counter using behavioral style of modeling by using pic74196.
Design a circuit for a synchronous 4-bit counter. Your counter should count up starting from 0...
Design a circuit for a synchronous 4-bit counter. Your counter should count up starting from 0 to 9 (00002 to 10012) and then wind back to 0 (00002) – after 9, it should go back to 0. Use 4 JK flip-flops and any other gates you need. Include your design documentation in your submission: a. Truth table b. Simplification (show your work) 2. Build this circuit in Logisim. Please label each gate, including flip-flops. You may need the following wiring...
1.IC 74161 is a synchronous 4-bit counter designed by Texas Instruments (TI). Find the data sheet...
1.IC 74161 is a synchronous 4-bit counter designed by Texas Instruments (TI). Find the data sheet for this IC on Google and answer the following questions: a)Use two 74161 ICs to design an 8-bit counter. Hint: work with the IC input and output pins. Consider the count sequence: 0-> 1-> 2-> 3-> 4-> 5-> 0 .... b) Use a 74161 IC and the “Clear” input pin to implement this count sequence. c) Use a 74161 IC and the “Load” input...
Design a synchronous up/down 2-bit counter. The circuit has a single input (X), when X=1, the...
Design a synchronous up/down 2-bit counter. The circuit has a single input (X), when X=1, the counter counts up (i.e. 0,1,2,3,0,1.....), when X=0, the counter counts down (i.e. 3,2,1,0,3,2,....). Show work, including a next-state table and circuit diagram.
Design a 3 bit down counter that will start at 0, then 4, then 3, then...
Design a 3 bit down counter that will start at 0, then 4, then 3, then 2, then 1, then 0, then 4... All unused states should go to 4. This should include state diagram, next state table, and MultiSim schematic. Thanks!
6. Please design a self-start 2-bit counter using the Finite State machine. Note you can formulate...
6. Please design a self-start 2-bit counter using the Finite State machine. Note you can formulate the State truth table (Present State/Next State/Inputs). Please design it using D Flip-Flops or T-Flip Flops and combination gates. Current State Next State input Q1Q0 Q1’Q0’ D1D0 (T1T0) 00 01 01 10
Design a 6-bit, shift-right register with D flip flops, and use it to implement a circuit...
Design a 6-bit, shift-right register with D flip flops, and use it to implement a circuit that detects the sequence “010010” (the rightmost bit is the first arriving). Information shifts one position right when a positiv edge of clk occurs The circuit has the following inputs and outputs (use exactly these names for inputs and outputs. Respect upper and lower case): clk: Input. Clock signal. RST: Reset signal. When RST = 1 flip flops are reset to 0. IN: Data...
ADVERTISEMENT
Need Online Homework Help?

Get Answers For Free
Most questions answered within 1 hours.

Ask a Question
ADVERTISEMENT