Question

desgin sychonrous sequential circuit steps through 1,3,5,7,4,2,0,6 and repeat implement the circuit in verilog . drive...

desgin sychonrous sequential circuit steps through 1,3,5,7,4,2,0,6 and repeat implement the circuit in verilog . drive the seven segment display

Homework Answers

Answer #1

State Table

PRESENT STATE

NEXT STATE

Q2

Q1

Q0

Q2+

Q1+

Q0+

0

0

0

1

1

0

0

0

1

0

1

1

0

1

0

0

0

0

0

1

1

1

0

1

1

0

0

0

1

0

1

0

1

1

1

1

1

1

0

0

0

1

1

1

1

1

0

0

Circuit is implemented using D Flip Flop and Hence we derive excitation equation for the D flip flop inputs

Circuit

//Now behavioral model of circuit in verilog is shown below.

//Seven Segment display is assumed to be common cathode type

//Verilog Code


module synchronous_circuit (clock, reset, display);

input clock, reset;

output reg [6:0] display;

parameter S0=3'b000, S1=3'b001, S2=3'b010, S3=3'b011, S4=3'b100, S5=3'b101, S6=3'b110, S7=3'b111;

reg [2:0] current_state, next_state;

always @ (posedge clock)
begin
   if (reset)
       current_state <= S0;
   else
       current_state <= next_state;
end

always @(current_state)
begin
   case (current_state)
       S0:   next_state <= S6;
       S1:   next_state <= S3;
       S2:   next_state <= S0;
       S3:   next_state <= S5;
       S4:   next_state <= S2;
       S5:   next_state <= S7;
       S6:   next_state <= S1;
       S7:   next_state <= S4;
       default:next_state <= S0;
   endcase
end

always @(current_state)
begin
   case (current_state)
       S0:   display <= 7'b0000001; //abcdefg segments
       S1:   display <= 7'b1001111;
       S2:   display <= 7'b0010010;
       S3:   display <= 7'b0000110;
       S4:   display <= 7'b1001100;
       S5:   display <= 7'b0100100;
       S6:   display <= 7'b0100000;
       S7:   display <= 7'b0001111;
       default:display <= 7'b1111111;
   endcase
end

endmodule

/////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////

//Simulated on ModelSim

Know the answer?
Your Answer:

Post as a guest

Your Name:

What's your source?

Earn Coins

Coins can be redeemed for fabulous gifts.

Not the answer you're looking for?
Ask your own homework help question
Similar Questions
Implement a logic circuit using basic logic gates that display the 4 largest BCD characters on...
Implement a logic circuit using basic logic gates that display the 4 largest BCD characters on a 7-segment display.
write a verilog code for displaying all "0 to 7" numbers in a seven segment display...
write a verilog code for displaying all "0 to 7" numbers in a seven segment display in ddr board.
1.a) A counter is designed to go through the sequence : 1,3,5,7,0,2,5,6, repeat, Using JK flipflops:...
1.a) A counter is designed to go through the sequence : 1,3,5,7,0,2,5,6, repeat, Using JK flipflops: (i) Construct the state table. (ii) Draw the circuit. b) A sequential circuit is constructed with one T flip-flop A, one D flip-flop B and one input X, when X=0, the state of the circuit remains the same. When X=1, the circuit goes through the transitions from 00 to 01 to 11 to 10 back to 00, repeat. (i) Draw the state transition diagram...
A stream of 0’s and 1’s coming from a serial transmission channel. A sequential circuit will...
A stream of 0’s and 1’s coming from a serial transmission channel. A sequential circuit will be designed that detects 011 sequence coming through this input line. a) Draw the state diagram. b) Fill in the state table using JK flipflops c) Simplify the JKs in Karnough and find their input definitions d) Implement the circuit using Y-0016/004D board and other necessary gate boards. e) Apply implemented circuit in the Proteus program.
A Sequential Circuit has two D Flip-Flops, C and H, and one input x. When x...
A Sequential Circuit has two D Flip-Flops, C and H, and one input x. When x = 0, the state of the circuit remains the same. When x =1, the circuit goes through the state transitions from 00 to 01 to 11 to 10 back to 00, and repeats. Design the sequential circuit.
Implement an encoder for 4 alphabetic characters (P, U, S, H) using a 2-to-4 Decoder and...
Implement an encoder for 4 alphabetic characters (P, U, S, H) using a 2-to-4 Decoder and Or gates. • Define the Truth Table to correctly light up the segments of a 7-segment display given two input switches (x1 and x0) representing the 4 combinations for the characters in this order ( 00 = P, 01=U, 10=S, 11=H) • Implement the circuit using the decoder and Or gates to achieve an encoder to a 7-segment display.
An ABCD-to-seven-segment decoder is a combinational circuit that converts a decimal digit in BCD to an...
An ABCD-to-seven-segment decoder is a combinational circuit that converts a decimal digit in BCD to an appropriate code for the selection af segments in an indicator used to display the decimal digit in a familiar form The seven outputs of the decoder (a, a a de,t g select the corresponding segments in the display, as shown below. The numeric display chosen to represent the decimal digit is shown below. Using a truth table and Kamaugh maps (for segments g and...
Trying to make a seven segment driver on vivado using verilog. I have all 7 components...
Trying to make a seven segment driver on vivado using verilog. I have all 7 components module coded some as logical gates some as decoders and muxs. they all tested and workout. When I implement them i get an error [HDL 9-3756] overwriting previous definition of module 'schematic1'. All seven modules I want to make them all into one module they all share same 4 bit binary input different outputs. How do i make these seven modules into one acting...
Design a sequential circuit which has an input line x and an output line y. The...
Design a sequential circuit which has an input line x and an output line y. The circuit receives input from a single source in the form of a string of ‘1’ s and 0’s . The output goes to ‘1’ once three consecutive ‘1’s are seen on the input, and remains 1 as long as it continues to receive ‘1’ on the input line. If it receives a ‘0’ the output goes to ‘0’ again.. Inputs : x = 0001101011101111110010...
1) Design a sequential circuit with two D flip-flops, A and B, and one input, x....
1) Design a sequential circuit with two D flip-flops, A and B, and one input, x. When x = 0, the circuit state does not change. When x = 1, the circuit stops through the state transitions from 00 to 01, from 01 to 10, from 10 to 11, finally back to 00 and the sequence repeats. to. Find the state diagram b. Find the table of states c. Find the equations of state d. Find the logic diagram