Question

Design a 6-bit, shift-right register with D flip flops, and use it to implement a circuit...

Design a 6-bit, shift-right register with D flip flops, and use it to implement a circuit that detects the sequence “010010” (the rightmost bit is the first arriving). Information shifts one position right when a positiv edge of clk occurs The circuit has the following inputs and outputs (use exactly these names for inputs and outputs. Respect upper and lower case):

  • clk: Input. Clock signal.
  • RST: Reset signal. When RST = 1 flip flops are reset to 0.
  • IN: Data entry. IN inputs to the leftmost flip flop at each rising edge of clk.
  • OUT: Output. Takes the value 1 when the last bit of sequence 010010 is detected (see example) and returns to 0 in the next clock cycle.

Note:To properly verify the circuit, VerilUOC_Desktop requires all inputs of the flip flops are connected to some value. So, it is necessary to connect "S" inputs to 0 and "load" inputs to 1. To do so, click in "Wiring" (left menu), select "Constant" and connect the constant (a logical 1) to the “load" inputs. Repeat the process and, in the Value property of the left menu, replace the 0x1 by 0x0 (this will set the constant to 0). Connect the resulting constant to the "S" inputs.

Homework Answers

Answer #1

6 bit shift register:

the positional value corresponding to 0 Q' is connected to and gate. and value position corresponding to 1 in the sequence Q is connected to and gate.

hence for 010010

we will have Q'.Q.Q'Q'Q.Q' connected to inputs of and gate respectevely.

hence giving us an output of 1. when the sequence of inputs matches the sequence.

the reset input is Asynchronous. which will reset all flip flops to 0.

Know the answer?
Your Answer:

Post as a guest

Your Name:

What's your source?

Earn Coins

Coins can be redeemed for fabulous gifts.

Not the answer you're looking for?
Ask your own homework help question
Similar Questions
Using T-Flip-flops, design a 3-bit register/counter circuit with bits [A2 A1 A0]. The circuit operations are...
Using T-Flip-flops, design a 3-bit register/counter circuit with bits [A2 A1 A0]. The circuit operations are described in the following table. Show all design details, i.e., write down steps and equations and draw the detailed circuit diagram. S2 S1 S0 Operation 0 0 0 No change 0 0 1 Rotate left 0 1 0 Rotate right 0 1 1 Reset 1 0 0 Set 1 0 1 Count down 1 1 0 Count up 1 1 1 Load external bits...
4-bit register using jk flip flops. 1)What is the purpose of anding the clock signal and...
4-bit register using jk flip flops. 1)What is the purpose of anding the clock signal and the load input together in your circuit? 2) How would I be able to store 1011 into the 4-bit register? Explain the process. For example, what do your inputs should be? Should your clock be on or off? How about your load input? How does your load input work when you want to store bits into your register? 3) If your load input is...
How do I design a divide by 4 clock in verilog using 2 D Flip Flop...
How do I design a divide by 4 clock in verilog using 2 D Flip Flop blocks? I have created this divide by 2 clock D Flip Flop block so far: module divide_by_2(D, Clk,reset, Q, Qnext); //Divide by 2 clock with reset using D flip flop    input Clk, D, reset;    output Q,Qnext;    reg Q;       assign Qnext = ~Q;       always @(posedge Clk or posedge reset) //always at the positive edge of the clock or...
Using three rising-edge-triggered T flip-flops and a minumum number of additional gates, construct a circuit that...
Using three rising-edge-triggered T flip-flops and a minumum number of additional gates, construct a circuit that will operate as a binary counter with an enable signal E. When E = 1, the counter should increment from 0 to 7 on each clock pulse, with (flip-flop outputs Q2Q1Q0 = 000- 001-010-011-100-101-110-111) and then roll over to 000 and repeat the sequence. When E=0, the counter should stop and hold its current count. Note: (not modulo-8, but modulo-6: 000-001-010-011-100-101-000- ... )
Implement the following circuit to test the characteristics of a D flip flop. Note: Using a...
Implement the following circuit to test the characteristics of a D flip flop. Note: Using a clock input to operate the flip-flop is rather quickly. You may wish to select the slow motion of the clock OR to use a simple binary input device instead of a clock input device. Verify the flip flop state table. Q(t+1) = D, is the characteristic equation. Characteristic Table Excitation Table ==================== =================== D Q(t+1) Operation Q(t) Q(t+1) D ==================== =================== 0 0 Reset...
Implement the following circuit to test the characteristics of a D flip flop. Note: Using a...
Implement the following circuit to test the characteristics of a D flip flop. Note: Using a clock input to operate the flip-flop is rather quickly. You may wish to select the slow motion of the clock OR to use a simple binary input device instead of a clock input device. Verify the flip flop state table. Q(t+1) = D, is the characteristic equation. Characteristic Table Excitation Table ==================== =================== D Q(t+1) Operation Q(t) Q(t+1) D ==================== =================== 0 0 Reset...
Design a counter which counts in the sequence that has been assigned to you. Use D...
Design a counter which counts in the sequence that has been assigned to you. Use D flip flops and NAND gates. Simulate your design using SimUaid. Submit the state table, D flip-flop input equations, and transition graph determined in Part 6. The D flip-flop equations can be derived using Karnaugh maps or using LogicAid by entering a state table with zero input variables. Sequence: 000,100,001,110,101,111,(repeat) 000,... Also, please answer the following questions: How can a D flip-flop be set to...
Multisim A Shift Register is a series of flip-flops connected so that data can be transferred...
Multisim A Shift Register is a series of flip-flops connected so that data can be transferred to a neighbor each time the clock pulse is active. These shift registers can be constructed using D or J-K flip-flops. A shift register counter is a shift register with the serial output connected back to the serial input to produce special sequences. Two of the most common shift register counters are the Johnson Counter [Twisted Ring Counter] and the Ring Counter [Overbeck Counter]....
Multisim Please A Shift Register is a series of flip-flops connected so that data can be...
Multisim Please A Shift Register is a series of flip-flops connected so that data can be transferred to a neighbor each time the clock pulse is active. These shift registers can be constructed using D or J-K flip-flops. A shift register counter is a shift register with the serial output connected back to the serial input to produce special sequences. Two of the most common shift register counters are the Johnson Counter [Twisted Ring Counter] and the Ring Counter [Overbeck...
We wish to design a digital system with two flip-flops, say B and C, and one...
We wish to design a digital system with two flip-flops, say B and C, and one 10 bit binary Counter A, in which the individual flip-flops are denoted by A10, A9, A8, A7, A6, A5, A4, A3, A2, A1. A start signal S initiates the system operation by clearing the counter A and flip-flop C, and setting flip-flop B to one. The counter is then incremented by one starting from the next clock pulse and continues to increment until the...