Question

Develop the VHDL Architecture for a binary up-counter that inputs a clock of 1.2 MHz and...

Develop the VHDL Architecture for a binary up-counter that inputs a clock of 1.2 MHz and outputs a signal of 960 Hz. Use Q as the outputs and CLK as the clock input. All signals are INTEGER type.

Homework Answers

Answer #1

The VHDL Architechture is developed by the n=10 is shown in below:-

Library IEEE;

Use IEEE . STD_LOGIC_1164 .ALL;

Use IEEE . STD_LOGIC_ARITH .ALL;

Use IEEE .STD_LOGIC_UNSIGNED . ALL;

Entity counter_VHDL is

Port (Number : in std_logic_vector(0 to 3);

Clock : in std logic;

Load: in std logic;

Reset : in std logic;

Direction: in std logic;

Output:out std_logic_vector(0 to 3));

end counter_VHDL;

architecture counter of counter_VHDL is

begin

process (CLK)

begin

if CLK event and CLK =1 then

IF Q =1249 then

Q<=0;

Else Q <= Q +1;

end IF;

end IF;

end PROCESS;

end counter;

Know the answer?
Your Answer:

Post as a guest

Your Name:

What's your source?

Earn Coins

Coins can be redeemed for fabulous gifts.

Not the answer you're looking for?
Ask your own homework help question
Similar Questions
What would happen with the ring counter if the reset switch set the value of the...
What would happen with the ring counter if the reset switch set the value of the counter to a ‘3’ instead of a ‘1’? Group of answer choices There would be a false output, but the counter would continue to run in the proper order after the “3” state The counter will count in the sequence: 3 -> 6 -> 5 -> 3 -> 6 -> ... which means two “on” outputs will be shifted left. The “3” state was...
QUESTION 1 During a single clock tick, how many 64-bit values can be written to an...
QUESTION 1 During a single clock tick, how many 64-bit values can be written to an input of a register file with 8 64-bit registers (i.e. an 8x64 register file)? QUESTION 2 Suppose you are designing a processor that contains a register file with 32 32-bit registers (i.e. a 32x32 register file). What is the minimum number of bits required in order to select which register is being written to? QUESTION 3 If the decimal value, 30, is shifted to...
Can someone create a test bench for this code in VHDL. (Please type it out) library...
Can someone create a test bench for this code in VHDL. (Please type it out) library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity USR_4Bit is port( LR,SER,clk,clear,OC: in std_logic; Da,Db,Dc,Dd: in std_logic; Qa,Qb,Qc,Qd,QCas: out std_logic); end USR_4Bit; architecture Structural of USR_4Bit is signal NLR,A1,A2,A3,A4,A5,A6,A7,A8: std_logic; signal Nclear,Nclk,Q1,Q2,Q3,Q4:std_logic; signal O1,O2,O3,O4 : std_logic; component andgate port(a,b: in std_logic; z : out std_logic); end component; component orgate port(a,b: in std_logic; z : out std_logic); end component; component notgate port(a: in std_logic; z : out std_logic); end...