Question

Could someone show me how to write VHDL code for the following logical Shifts. Please use...

Could someone show me how to write VHDL code for the following logical Shifts. Please use concatenation.

signal x:std_logic_vector(7 downto 0):"01000101";

1) Logical right shift of 2.

2) Logical right shift of 5.

3) Logical left shift of 2.

3) Logical left shift of 3.

Homework Answers

Know the answer?
Your Answer:

Post as a guest

Your Name:

What's your source?

Earn Coins

Coins can be redeemed for fabulous gifts.

Not the answer you're looking for?
Ask your own homework help question
Similar Questions
Write a VHDL code for a 4-bit shift register that shifts from right to left.
Write a VHDL code for a 4-bit shift register that shifts from right to left.
Convert the following code using variables rather than signals. Comment on the differences of a code...
Convert the following code using variables rather than signals. Comment on the differences of a code that uses only signals to a code which makes use of variables. entity converter is port( sign_mag : in std_logic_vector(3 downto 0) ; twos_comp : out std_logic_vector(3 downto 0) ); end converter; architecture converter_arch of converter is signal neg : std_logic_vector(3 downto 0); begin process(sign_mag) begin if (sign_mag <= 1000) then twos_comp<=sign_mag; else neg <=('1' & not sign_mag(2 downto 0))+1; twos_comp<=neg; end if;
1. Please write the following in C++ also please show all output code and comment on...
1. Please write the following in C++ also please show all output code and comment on code. 2. Also, use CPPUnitLite to write all test and show outputs for each test. Write CppUnitLite tests to verify correct behavior for all the exercises. The modifications are aimed at making the exercises more conducive to unit tests. Write a function that swaps (exchanges the values of two integers). Use int* as the argument type. Write a second swap function using a reference...
Could someone show me how to do this correctly please. Thanks. III) What is the pH...
Could someone show me how to do this correctly please. Thanks. III) What is the pH of a 0.025 M aqueous solution of HClO4 at 25°C? IV) What is the pH of a 0.025 M aqueous solution of Ba(OH)2 at 25°C? V) What is the concentration (in M) of hydrogen ions in a solution at 25°C with pH=12.4? VI) Calculate the pOH of a solution containing 2.37×10^-5 M H3O+ at 25°C
APPLIED STATISTICS 2 USE R CODE ! SHOW R CODE! Write a function to calculate the...
APPLIED STATISTICS 2 USE R CODE ! SHOW R CODE! Write a function to calculate the sum of cubes from 1 to n, but skip the multiple of 5. Say, if n=10, the result is 1^3+2^3+3^3+4^3+6^3+7^3+8^3+9^3. The input is the value of n, the output is the summation. (you need if statement to check whether a number is a multiple of 5.In R, a%%b is the remainder for a divided by b. So, we have 10%%5=0) APPLIED STATISTICS 2 USE...
VIVA QUESTIONS: 1. Implement the following function using VHDL coding. (Try to minimize if you can)....
VIVA QUESTIONS: 1. Implement the following function using VHDL coding. (Try to minimize if you can). F(A,B,C,D)=(A'+B+C). (A+B'+D'). (B+C'+D') . (A+B+C+D) 2. What will be the no. of rows in the truth table of N variables? 3. What are the advantages of VHDL? 4. Design Ex-OR gate using behavioral model? 5. Implement the following function using VHDL code f=AB+CD. 6. What are the differences between half adder and full adder? 7. What are the advantages of minimizing the logical expressions?...
Matlab equation solve code please show me the code for how to solve x=1-e^-2x in Matlab...
Matlab equation solve code please show me the code for how to solve x=1-e^-2x in Matlab with explanation show me the code and result
Can someone please show me the steps in how to do this problem please. find dy/dx...
Can someone please show me the steps in how to do this problem please. find dy/dx y=(3x^3+4x)^4 dy/dx=
Can someone show me how to do a test for lack of fit for the following...
Can someone show me how to do a test for lack of fit for the following data? Please show all work by hand and not by computer for an up vote. Thanks. y x4 x7 x9 29.5 1.5 4 0 27.9 1.175 3 0 25.9 1.232 3 0 29.9 1.121 3 0 29.9 0.988 3 0 30.9 1.24 3 1 28.9 1.501 3 0 35.9 1.225 3 0 31.5 1.552 3 0 31 0.975 2 0 30.9 1.121 3 0...
Hydrolysis of; 3-methyl-4-cyclohexene-1,2-dicarboxylic anhydride to form a diacid. 1) Could someone please show me the reaction...
Hydrolysis of; 3-methyl-4-cyclohexene-1,2-dicarboxylic anhydride to form a diacid. 1) Could someone please show me the reaction mechanism of Maleic Anhydride, picric acid and piperylene. 2) If you can please also include FMOs and correlation diagrams. 3) Would you know which isomer if piperylene would be more reactive? Trans or Cis? Thankyou.