Question

Q2: Implement F(A,B,C)=(A+B+C)(A’+C’)(B’+C’) using: (5 pts each) A. A 3x8 active high decoder B. A 3x8...

Q2: Implement F(A,B,C)=(A+B+C)(A’+C’)(B’+C’) using: (5 pts each)

A. A 3x8 active high decoder

B. A 3x8 active low decoder

C. A 2x1 multiplexer.

D. A 4x1 multiplexer.

Q3: Implement a Full Adder using: (5 pts each)

A. A 3x8 active high decoder

B. A 3x8 active low decoder

C. With two 2x4 Active high decoders.

Homework Answers

Answer #1

answer 1:

truth table:

A   B   C   F
0   0   0   0
0   0   1   1
0   1   0   1
0   1   1   0
1   0   0   1
1   0   1   0
1   1   0   1
1   1   1   0

active high encoder implementation:

active low implementation:

now for 2:1 mux implementation

lets keep A as select line

hence from the above truth table, we see

when a=0 then output is B xor C

when a=1 then output is B'C'+BC'

hence:

4:1 mux implementation:

let's keep AB as select inputs

for the truth table we see that

AB=00 F=C

01 F=C'

10 F=C'

11 F= C'

hence circuit:

answer3:

full adder truth table:

A   B   cin   S   cout
0   0 0 0 0
0   0 1 1 0
0   1 0 1 0
0   1 1 0 1
1   0 0 1 0
1   0 1   0 1
1   1 0 0 1
1   1 1   1 1

implementation using 3*8 decoder

implementation using 2*8 active low decoder

implementation using two 2*4 decoders

BC are inputs to the decoder and A is given to the enable of the decoder to select the decoder

Know the answer?
Your Answer:

Post as a guest

Your Name:

What's your source?

Earn Coins

Coins can be redeemed for fabulous gifts.

Not the answer you're looking for?
Ask your own homework help question
Similar Questions
Q1)Design a 3-bit full adder by using a 8x3 Decoder., Q2)Design a digital circuit by using...
Q1)Design a 3-bit full adder by using a 8x3 Decoder., Q2)Design a digital circuit by using a 8x1 multiplexer implementing the following Boolean equation.F(A, B, C, D) =∑(2, 3, 5, 7, 8, 9, 12, 13, 14, 15)
Logic Circuit Problem #3 Given the following logic function: F(a,b,c,d) = ? m(0,3,7,9,11,13,15)+?d(4,6,8) use a Karnaugh...
Logic Circuit Problem #3 Given the following logic function: F(a,b,c,d) = ? m(0,3,7,9,11,13,15)+?d(4,6,8) use a Karnaugh Map to, a) Find a minimal SOP expression Answer: F(a,b,c,d) = b) Find a minimal POS expression Answer: F(a,b,c,d) = Problem #4 Implement the function F(a,b,c,d) given in problem #3 using two 3-to-8 decoders, both active low enabled and active low output. F(a,b,c,d) = ? m(0,3,7,9,11,13,15)+?d(4,6,8) Answer: Problem #5 Implement the function in the previous problem: F(a,b,c,d) = ? m(0,3,7,9,11,13,15)+?d(4,6,8), using a single 4...
Implement an octal to 7-segment decoder, using only a single 3-to-8 decoder module (with active-low outputs)...
Implement an octal to 7-segment decoder, using only a single 3-to-8 decoder module (with active-low outputs) plus seven additional gates – one gate per output. Each gate should have as few inputs as possible. Show your work and sketch the circuit.
Implement F(A,B,C,D)=Σ(1,3,4,11, 13, 15) using two 3x8 AND decoders and an enable bit.
Implement F(A,B,C,D)=Σ(1,3,4,11, 13, 15) using two 3x8 AND decoders and an enable bit.
VIVA QUESTIONS: 1. Implement the following function using VHDL coding. (Try to minimize if you can)....
VIVA QUESTIONS: 1. Implement the following function using VHDL coding. (Try to minimize if you can). F(A,B,C,D)=(A'+B+C). (A+B'+D'). (B+C'+D') . (A+B+C+D) 2. What will be the no. of rows in the truth table of N variables? 3. What are the advantages of VHDL? 4. Design Ex-OR gate using behavioral model? 5. Implement the following function using VHDL code f=AB+CD. 6. What are the differences between half adder and full adder? 7. What are the advantages of minimizing the logical expressions?...
1) Implement the given logic function using a 4:1 MUX. (Ref: Lec 16, slide 5) F(A,B,C)...
1) Implement the given logic function using a 4:1 MUX. (Ref: Lec 16, slide 5) F(A,B,C) = Σm(0,1,3,7) Show the truth table, the 4:1 MUX schematic with the inputs, select inputs and the output. 2) For an 8:3 priority encoder: a) Draw the schematic. b) Write the truth table. c) Write the Boolean expressions for each of the outputs in terms of the inputs. d) Draw the logic circuit for the outputs in terms of the inputs.
1. Implement the given logic function using a 4:1 MUX. F(A,B,C) = Σm(0,1,3,7) Show the truth...
1. Implement the given logic function using a 4:1 MUX. F(A,B,C) = Σm(0,1,3,7) Show the truth table, the 4:1 MUX schematic with the inputs, select inputs and the output. 2. For an 8:3 priority encoder: a) Draw the schematic. b) Write the truth table. c) Write the Boolean expressions for each of the outputs in terms of the inputs. d) Draw the logic circuit for the outputs in terms of the inputs.
(ii) Create a hierarchical Verilog 5-to-1 mux module with five data inputs (a, b, c, d,...
(ii) Create a hierarchical Verilog 5-to-1 mux module with five data inputs (a, b, c, d, e), three select inputs (s[2:0]), and one output bit (f) using 4-to-1 multiplexers. Design the 4-to-1 multiplexer using behavioral code.
5 -letter "words" are formed using the letters A, B, C, D, E, F, G. How...
5 -letter "words" are formed using the letters A, B, C, D, E, F, G. How many such words are possible for each of the following conditions? a) No condition is imposed.   b) No letter can be repeated in a word. c) Each word must begin with the letter A. d) The letter C must be at the end.   e) The second letter must be a vowel.
Letr(t)=(4t2+1)i+2tjfor−2≤t≤2. (a) (10 pts) Draw a sketch of the curve C determined by r(t). (b) (5...
Letr(t)=(4t2+1)i+2tjfor−2≤t≤2. (a) (10 pts) Draw a sketch of the curve C determined by r(t). (b) (5 pts) Plot r(0) and label its endpoint P. (c) (10 pts) Plot the vector tangent to C at P . (d) (10 pts) Find the equation of the line tangent to C at P (you may give this parametrically or not). (e) (5 pts) Find the curvature K at P . (f) (5 pts) Find the radius of curvature ρ at P. (g) (5...