Question

Design a 4-bit AND/OR unit that accepts two 4-bits numbers, A and B, and either AND...

Design a 4-bit AND/OR unit that accepts two 4-bits numbers, A and B, and either AND them or OR them depending on a control signal A/O (when the control signal is 0 perform AND,otherwise if the signal is 1 then perform OR–bitwiselogicaloperation). The result will be stored in C.

Homework Answers

Answer #1

Let’s first talk about a 1 bit circuit:

We will first prepare the truth table for the given scenario. When C is 0, we will perform AND operation between A and B, when C is 1, we will perform OR operation on A and B.

A

B

C

Output

0

0

0

A*B = 0

0

0

1

A+B = 0

0

1

0

A*B = 0

0

1

1

A+B = 1

1

0

0

A*B = 0

1

0

1

A+B = 1

1

1

0

A*B = 1

1

1

1

A+B = 1

Now to prepare the circuit on basis of this, we will follow a simple method for designing circuit, which is called the Sum-Of-Products, or SOP, form. So, a Sum-Of-Products Boolean expression is basically a set of Boolean terms added together, each term being a product of Boolean variables. An example would be: ABC + BCD + DEF, the sum of products “ABC,” “BCD,” and “DEF.”

Now for above truth table, how to create the SOP expression

For the above truth table, for each row, if output is 0, we ignore the row. As this will not play any role in the output. For the rows, which outputs a 1, we will try to negate the individual bits(if required) to prepare a product term of A, B, C which results 1 for that particular row.

A

B

C

SOP Expression

0

0

0

N/A

0

0

1

N/A

0

1

0

N/A

0

1

1

1

0

0

N/A

1

0

1

1

1

0

1

1

1

So overall SOP Expression would be sum of individual SOP expressions, where applicable.

Overall SOP expression = + + +

Now to simplify above Expression, so that we can design the circuit:

SOP expression = + + +

                                = =
=

Using principle and

                                = =

                                =

Using principle

                                = =

                                =

Using principle

                                =

                                =

Now this looks simplified:

For 1 bit, result will be

Now the circuit can be designed as(For 1 bit):

Similarly, In case you want it for 4bits of input A and B with 1 bit for control bit C, Circuit can be designed in following way:

Do let me know, in case something is not clear.

Know the answer?
Your Answer:

Post as a guest

Your Name:

What's your source?

Earn Coins

Coins can be redeemed for fabulous gifts.

Not the answer you're looking for?
Ask your own homework help question
Similar Questions
Matlab uses IEEE double precision numbers: 64-bit floating point representation 1 bit : sign 11 bits:...
Matlab uses IEEE double precision numbers: 64-bit floating point representation 1 bit : sign 11 bits: exponent 52 bits: mantissa. Calculate largest number (less than inf) that can be stored accurately Calculate smallest number (x>0) that can be stored accurately Calculate the machine epsilon Show all work step by step and repeat for 10 bit floating point (bit sign, 4 bits exponent and 5 bits mantissa)
Matlab uses IEEE double precision numbers: 64-bit floating point representation 1 bit : sign 11 bits:...
Matlab uses IEEE double precision numbers: 64-bit floating point representation 1 bit : sign 11 bits: exponent 52 bits: mantissa. Calculate largest number that can be stored accurately Calculate smallest number (x>0) that can be stored accurately Calculate the machine epsilon Show all work step by step and explain calculations Now calculate the largest number and smallest number for a 10 bit floating point (1 bit for the sign, 4 bits exponent and 5 bits mantissa)
Design a 4-bit adder-subtractor circuit using the 4-bit binary Full adders (74LS83) and any necessary additional...
Design a 4-bit adder-subtractor circuit using the 4-bit binary Full adders (74LS83) and any necessary additional logic gates. The circuit has a mode input bit, M, that controls its operation. Specifically, when M=0, the circuit becomes a 4-bit adder, and when M=1, the circuit becomes a 4-bit subtractor that performs the operation A plus the 2’s complement of B.Where A and B are two 4-bits binary numbers. That is, * When M=0, we perform A+B, and we assume that both...
Multiplying two 2-bit numbers (X0X1 and Y0Y1) requires a 4-bit output (Z0Z1Z2Z3). They force us to...
Multiplying two 2-bit numbers (X0X1 and Y0Y1) requires a 4-bit output (Z0Z1Z2Z3). They force us to perform the multiplication with a two-bit state machine with flip flops type D. The present state of the machine will be one of the numbers to multiply (Y0Y1) and the next state will be two of the four bits of the multiplying ( Y0Y1 -> Z2Z3). The other two bits (Z0Z1) will be additional outputs that will be determined using the two input bits...
Q5) Design a magnitude comparator that takes two three bit unsigned numbers, A = (a2a1a0) and...
Q5) Design a magnitude comparator that takes two three bit unsigned numbers, A = (a2a1a0) and B = (b2b1b0), and outputs LE = 1 if A<=B, otherwise LE = 0. Give the Boolean expression for the circuit output g. Draw the circuit using only 3 4x1 MUXs.(16pt)
When adding two 8 bit binary numbers, which of the following statements is true? Select one:...
When adding two 8 bit binary numbers, which of the following statements is true? Select one: a. All bits are shifted to the right by one place, giving a 7 bit number b. The result might require 16 bits to store c. The result will require the same bits as the larger number d. The result might require 9 bits to store e. The result will also be a 8 number
VERILOG Design an Arithmetic Logic Unit (ALU) that can perform four-bit 1. Four-bit addition; 2. Four-bit...
VERILOG Design an Arithmetic Logic Unit (ALU) that can perform four-bit 1. Four-bit addition; 2. Four-bit subtraction; 3. Four-bit multiplication; 4. Four-bit comparator (that compares two binary numbers to check whether two numbers are equal, or one is less/greater than other). Write test benches and simulate each module/submodule. Hint: First make individual modules of the four-bit adder, four-bit subtractor, four-bit multiplier, four-bit comparator modules (make all these in same/one project) and then use a multiplexer to combine these modules to...
We wish to design a 4-bit PWM to control the brightness of a 7-segment LED display....
We wish to design a 4-bit PWM to control the brightness of a 7-segment LED display. A PWM circuit will be constructed with a 74163 (4-bit binary counter) and a 7485 (4-bit magnitude comparator). The inputs and output are:  clk: DE1 50 MHz clock signal.  d: 4-bit input control signal specifying the duty cycle of output pulse.  p: 1-bit output pulse with the specified duty cycle. The d signal is treated as a 4-bit unsigned binary number....
by MULTISIM Design a 4 bit Counter that displays even numbers when a switch on, and...
by MULTISIM Design a 4 bit Counter that displays even numbers when a switch on, and odd when the switch off . i want you to desgin that cirucit in MULTIsim by useing Jk flip flop please make it easy to understand and memories =[ that mean if it was even= 0 its will count 0 , 2 , 4 ,6 , 8 , 10 , 14 if it is odd = 1 its will count 1 , 3 ,...
Construct a logic circuit that accepts a 5-bit input and whose output is one when exactly...
Construct a logic circuit that accepts a 5-bit input and whose output is one when exactly 2 bits of the input are ones and zero otherwise. EX: 11000 outputs 1, 10101 outputs 0, 00100 outputs 0, and 00110 outputs 1. NOTE, this has to be done with at MOST 10 logic gates, no more. Any logic gate with any number of inputs is allowed and inverters do not count towards the limit.